38 use ieee.std_logic_1164.
all;
 
   54   signal pltbs          : pltbs_t := C_PLTBS_INIT;
 
   57   signal clk            : std_logic;
 
   58   signal rst            : std_logic;
 
   60   signal x              : std_logic_vector(G_WIDTH-1 downto 0);
 
   61   signal y              : std_logic_vector(G_WIDTH-1 downto 0);
 
  107 end architecture bhv;
 
in y_i std_logic_vector(   G_WIDTH- 1 downto  0) 
 
G_DISABLE_BUGS integer  range  0 to  1:= 1
 
in x_i std_logic_vector(   G_WIDTH- 1 downto  0) 
 
out sum_o std_logic_vector(   G_WIDTH- 1 downto  0) 
 
Creates a clock for use in a testbench.
 
out clk_o std_logic 
Clock output.
 
in stop_sim_i std_logic 
Stops the clock when '1'.
 
G_PERIOD time :=  10 ns
Clock period.
 
See pltbutils_comp.vhd for a description of the components.
 
This package defines fuctions and procedures for controlling stimuli to a DUT and checking response.
 
pltbutils_clkgen clkgen0clkgen0
 
std_logic_vector(   G_WIDTH- 1 downto  0) sum
 
std_logic_vector(   G_WIDTH- 1 downto  0) y
 
pltbs_t :=   C_PLTBS_INIT pltbs
 
std_logic_vector(   G_WIDTH- 1 downto  0) x
 
G_CLK_PERIOD time :=  10 ns
 
G_DISABLE_BUGS integer  range  0 to  1:= 0
 
in sum std_logic_vector(   G_WIDTH- 1 downto  0) 
 
out y std_logic_vector(   G_WIDTH- 1 downto  0) 
 
G_DISABLE_BUGS integer  range  0 to  1:= 0
 
out x std_logic_vector(   G_WIDTH- 1 downto  0)