PlTbUtils  1.3
PlTbUtils is a collection of functions, procedures and components for easily creating stimuli and checking response in automatic self-checking testbenches.
tc_example2.vhd
Go to the documentation of this file.
1 
36 
37 library ieee;
38 use ieee.std_logic_1164.all;
39 use work.pltbutils_func_pkg.all;
40 
41 entity tc_example2 is
42  generic (
43  G_WIDTH : integer := 8;
44  G_DISABLE_BUGS : integer range 0 to 1 := 0
45  );
46  port (
47  pltbs : out pltbs_t;
48  clk : in std_logic;
49  rst : out std_logic;
50  carry_in : out std_logic;
51  x : out std_logic_vector(G_WIDTH-1 downto 0);
52  y : out std_logic_vector(G_WIDTH-1 downto 0);
53  sum : in std_logic_vector(G_WIDTH-1 downto 0);
54  carry_out : in std_logic
55  );
56 end entity tc_example2;
This package defines fuctions and procedures for controlling stimuli to a DUT and checking response.
out rst std_logic
Definition: tc_example2.vhd:49
out carry_in std_logic
Definition: tc_example2.vhd:50
G_WIDTH integer := 8
Definition: tc_example2.vhd:43
in carry_out std_logic
Definition: tc_example2.vhd:55
in clk std_logic
Definition: tc_example2.vhd:48
out pltbs pltbs_t
Definition: tc_example2.vhd:47
in sum std_logic_vector( G_WIDTH- 1 downto 0)
Definition: tc_example2.vhd:53
out y std_logic_vector( G_WIDTH- 1 downto 0)
Definition: tc_example2.vhd:52
G_DISABLE_BUGS integer range 0 to 1:= 0
Definition: tc_example2.vhd:45
out x std_logic_vector( G_WIDTH- 1 downto 0)
Definition: tc_example2.vhd:51