40 use ieee.std_logic_1164.
all;
41 use ieee.numeric_std.
all;
77 sum <= (others => '0');
89 sum_o <= std_logic_vector(sum(sum'high - 1 downto 0));
unsigned( G_WIDTH downto 0) x
unsigned( G_WIDTH downto 0) c
unsigned( G_WIDTH downto 0) y
unsigned( G_WIDTH downto 0) sum
in y_i std_logic_vector( G_WIDTH- 1 downto 0)
G_DISABLE_BUGS integer range 0 to 1:= 1
in x_i std_logic_vector( G_WIDTH- 1 downto 0)
out sum_o std_logic_vector( G_WIDTH- 1 downto 0)