PlTbUtils  1.3
PlTbUtils is a collection of functions, procedures and components for easily creating stimuli and checking response in automatic self-checking testbenches.
bhv Architecture Reference

Signals

pltbs  pltbs_t := C_PLTBS_INIT
clk  std_logic
rst  std_logic
carry_in  std_logic
x  std_logic_vector ( G_WIDTH - 1 downto 0 )
y  std_logic_vector ( G_WIDTH - 1 downto 0 )
sum  std_logic_vector ( G_WIDTH - 1 downto 0 )
carry_out  std_logic

Instantiations

dut0  dut_example <Entity dut_example>
clkgen0  pltbutils_clkgen <Entity pltbutils_clkgen>
tc0  tc_example2 <Entity tc_example2>

Detailed Description

Definition at line 50 of file tb_example2.vhd.

Member Data Documentation

◆ carry_in

carry_in std_logic
Signal

Definition at line 59 of file tb_example2.vhd.

◆ carry_out

carry_out std_logic
Signal

Definition at line 63 of file tb_example2.vhd.

◆ clk

clk std_logic
Signal

Definition at line 57 of file tb_example2.vhd.

◆ clkgen0

clkgen0 pltbutils_clkgen
Instantiation

Definition at line 89 of file tb_example2.vhd.

◆ dut0

dut0 dut_example
Instantiation

Definition at line 80 of file tb_example2.vhd.

◆ pltbs

pltbs pltbs_t := C_PLTBS_INIT
Signal

Definition at line 54 of file tb_example2.vhd.

◆ rst

rst std_logic
Signal

Definition at line 58 of file tb_example2.vhd.

◆ sum

sum std_logic_vector ( G_WIDTH - 1 downto 0 )
Signal

Definition at line 62 of file tb_example2.vhd.

◆ tc0

tc0 tc_example2
Instantiation

Definition at line 105 of file tb_example2.vhd.

◆ x

x std_logic_vector ( G_WIDTH - 1 downto 0 )
Signal

Definition at line 60 of file tb_example2.vhd.

◆ y

y std_logic_vector ( G_WIDTH - 1 downto 0 )
Signal

Definition at line 61 of file tb_example2.vhd.


The documentation for this class was generated from the following file: