PlTbUtils  1.3
PlTbUtils is a collection of functions, procedures and components for easily creating stimuli and checking response in automatic self-checking testbenches.
tb_pltbutils.vhd
Go to the documentation of this file.
1 ----------------------------------------------------------------------
2 ---- ----
3 ---- PlTbUtils Testbench ----
4 ---- ----
5 ---- This file is part of the PlTbUtils project ----
6 ---- http://opencores.org/project,pltbutils ----
7 ---- ----
8 ---- Description ----
9 ---- PlTbUtils is a collection of functions, procedures and ----
10 ---- components for easily creating stimuli and checking response ----
11 ---- in automatic self-checking testbenches. ----
12 ---- ----
13 ---- This is a testbench file, which is used to verify ----
14 ---- - pltbutils_func_pkg ----
15 ---- - pltbutils_comp ----
16 ---- This testbench is NOT selfchecking or automatic. ----
17 ---- Manually check the transcript and waveform, when simulating. ----
18 ---- It prints some informative text in the transcript, to help ----
19 ---- with the manual inspection. ----
20 ---- ----
21 ---- ----
22 ---- To Do: ----
23 ---- - ----
24 ---- ----
25 ---- Author(s): ----
26 ---- - Per Larsson, pela.opencores@gmail.com ----
27 ---- ----
28 ----------------------------------------------------------------------
29 ---- ----
30 ---- Copyright (C) 2013-2020 Authors and OPENCORES.ORG ----
31 ---- ----
32 ---- This source file may be used and distributed without ----
33 ---- restriction provided that this copyright statement is not ----
34 ---- removed from the file and that any derivative work contains ----
35 ---- the original copyright notice and the associated disclaimer. ----
36 ---- ----
37 ---- This source file is free software; you can redistribute it ----
38 ---- and/or modify it under the terms of the GNU Lesser General ----
39 ---- Public License as published by the Free Software Foundation; ----
40 ---- either version 2.1 of the License, or (at your option) any ----
41 ---- later version. ----
42 ---- ----
43 ---- This source is distributed in the hope that it will be ----
44 ---- useful, but WITHOUT ANY WARRANTY; without even the implied ----
45 ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ----
46 ---- PURPOSE. See the GNU Lesser General Public License for more ----
47 ---- details. ----
48 ---- ----
49 ---- You should have received a copy of the GNU Lesser General ----
50 ---- Public License along with this source; if not, download it ----
51 ---- from http://www.opencores.org/lgpl.shtml ----
52 ---- ----
53 ----------------------------------------------------------------------
54 
55 library ieee;
56  use ieee.std_logic_1164.all;
57  use ieee.numeric_std.all;
58  use std.textio.all;
59  use work.txt_util.all;
60  use work.pltbutils_func_pkg.all;
61  use work.pltbutils_comp_pkg.all;
62 
63 entity tb_pltbutils is
64  generic (
65  G_SKIPTESTS : std_logic_vector := (
66  '0', -- Dummy
67  '0', -- Test 1
68  '0', -- Test 2
69  '0', -- Test 3
70  '0', -- Test 4: NonSkipTest
71  '1' -- Test 5: SkipTest
72  );
73  G_CLK_PERIOD : time := 10 ns;
74  G_CHECKFILE_VERBOSITY : integer := 10;
75  G_BINTESTFILE_HEADER : string := "Bintestfile R ";
76  G_BINTESTFILE_LEN : integer := 528;
77  G_BINTESTFILE_REFERENCE : string := "../../../bench/testfiles/bintestfile_reference.bin";
78  G_BINTESTFILE_CORRECT : string := "../../../bench/testfiles/bintestfile_correct.bin";
79  G_BINTESTFILE_ERROR : string := "../../../bench/testfiles/bintestfile_error.bin";
80  G_BINTESTFILE_SHORTER : string := "../../../bench/testfiles/bintestfile_shorter.bin";
81  G_BINTESTFILE_LONGER : string := "../../../bench/testfiles/bintestfile_longer.txt";
82  G_TEXTTESTFILE_REFERENCE : string := "../../../bench/testfiles/texttestfile_reference.txt";
83  G_TEXTTESTFILE_CORRECT : string := "../../../bench/testfiles/texttestfile_correct.txt";
84  G_TEXTTESTFILE_ERROR : string := "../../../bench/testfiles/texttestfile_error.txt";
85  G_TEXTTESTFILE_SHORTER : string := "../../../bench/testfiles/texttestfile_shorter.txt";
86  G_TEXTTESTFILE_LONGER : string := "../../../bench/testfiles/texttestfile_longer.txt";
87  G_DATTESTFILE_REFERENCE : string := "../../../bench/testfiles/dattestfile_reference.dat";
88  G_DATTESTFILE_CORRECT : string := "../../../bench/testfiles/dattestfile_correct.dat";
89  G_DATTESTFILE_ERROR : string := "../../../bench/testfiles/dattestfile_error.dat";
90  G_DATTESTFILE_SHORTER : string := "../../../bench/testfiles/dattestfile_shorter.dat";
91  G_DATTESTFILE_LONGER : string := "../../../bench/testfiles/dattestfile_longer.dat"
92  );
93 end entity tb_pltbutils;
94 
95 architecture bhv of tb_pltbutils is
96 
97  -- Simulation status- and control signals
98  -- for accessing .stop_sim and for viewing in waveform window
99  signal pltbs : pltbs_t := C_PLTBS_INIT;
100 
101  -- Expected number of checks and number of errors to be reported
102  -- by pltbutils. The counting is made by variables, but the
103  -- variables are copied to these signals for easier viewing in
104  -- the simulator's waveform window.
105  signal expected_checks_cnt : integer := 0;
106  signal expected_errors_cnt : integer := 0;
107 
108  -- DUT stimuli and response signals
109  signal clk : std_logic;
110  signal clk_cnt : integer := 0;
111  signal clk_cnt_clr : boolean := false;
112  signal s_i : integer;
113  signal s_sl : std_logic;
114  signal s_slv : std_logic_vector(7 downto 0);
115  signal s_u : unsigned(7 downto 0);
116  signal s_s : unsigned(7 downto 0);
117  signal s_b : boolean;
118  signal s_time : time;
119  signal s_str_exp : string(1 to 44);
120  signal s_str1 : string(1 to 44);
121  signal s_str2 : string(1 to 44);
122  signal s_str3 : string(1 to 43);
123  signal s_str4 : string(1 to 45);
124 
125 
126  constant C_EXPECTED_SUCCESS : boolean := false;
127  constant C_EXPECTED_FAIL : boolean := true;
128 
129  procedure check_cnt (
130  constant C_EXPECT_FAIL : boolean;
131  variable v_checks_cnt : inout integer;
132  variable v_errors_cnt : inout integer;
133  signal checks_cnt : out integer;
134  signal errors_cnt : out integer
135  ) is
136  begin
137  v_checks_cnt := v_checks_cnt + 1;
138  if C_EXPECT_FAIL then
139  v_errors_cnt := v_errors_cnt + 1;
140  end if;
141  checks_cnt <= v_checks_cnt;
142  errors_cnt <= v_errors_cnt;
143  end procedure check_cnt;
144 
145 begin
146 
147  -- Clock generator
149  generic map (
151  )
152  port map (
153  clk_o => clk,
154  stop_sim_i => pltbs.stop_sim
155  );
156 
157  -- Clock cycle counter
158  p_clk_cnt : process (clk_cnt_clr, clk) is
159  begin
160 
161  if (clk_cnt_clr) then
162  clk_cnt <= 0;
163  elsif (clk'event and clk = '1') then
164  clk_cnt <= clk_cnt + 1;
165  end if;
166 
167  end process p_clk_cnt;
168 
169  -- Testcase
170  p_tc1 : process
171  variable pltbv : pltbv_t := C_PLTBV_INIT;
172  variable v_expected_tests_cnt : integer := 0;
173  variable v_expected_skiptests_cnt : integer := 0;
174  variable v_expected_checks_cnt : integer := 0;
175  variable v_expected_errors_cnt : integer := 0;
176  variable v_expected_data : integer;
177  variable v_actual_data : integer;
178  variable f_status : file_open_status;
179  file txtfile : text;
180  type charfile is file of character;
181  file binfile : charfile;
182  variable l : line;
183  variable c : character;
184  variable i : integer;
185  variable v_errcnt : integer;
186  variable msg : line;
187  variable line_num : integer := 0;
188  begin
189 
190  print(lf & "<Testing startsim()>");
191  startsim("tc1", G_SKIPTESTS, pltbv, pltbs);
192  wait until rising_edge(clk);
193  assert (pltbv.test_num = 0) and (pltbs.test_num = 0)
194  report "test_num after startsim() incorrect"
195  severity error;
196  print("<Done testing startsim()>");
197 
198  print(lf & "<Testing starttest() with auto-incrementing test_num>");
199  starttest("StartTest1", pltbv, pltbs);
200  v_expected_tests_cnt := v_expected_tests_cnt + 1;
201  wait until rising_edge(clk);
202  assert (pltbv.test_num = 1) and (pltbs.test_num = 1)
203  report "test_num after starttest() incorrect"
204  severity error;
205  print("<Done testing starttest() with auto-incrementing test_num()>");
206 
207  print(lf & "<Testing endtest()>");
208  endtest(pltbv, pltbs);
209  print("<Done testing endtest()>");
210 
211  print(lf & "<Testing starttest() with explicit test_num>");
212  starttest(3, "StartTest2", pltbv, pltbs);
213  v_expected_tests_cnt := v_expected_tests_cnt + 1;
214  wait until rising_edge(clk);
215  assert (pltbv.test_num = 3) and (pltbs.test_num = 3)
216  report "test_num after startsim() incorrect"
217  severity error;
218  print("<Done testing starttest() with explicit test_num>");
219 
220  print(lf & "<Testing starttest() and is_test_active() for non-skipped test>");
221  starttest(4, "NoSkipTest", pltbv, pltbs);
222 
223  if (is_test_active(pltbv)) then
224  v_expected_tests_cnt := v_expected_tests_cnt + 1;
225  wait until rising_edge(clk);
226  else
227  v_expected_skiptests_cnt := v_expected_skiptests_cnt + 1;
228  wait until rising_edge(clk);
229  assert false
230  report "Executing test that should have been skipped"
231  severity error;
232  end if;
233 
234  endtest(pltbv, pltbs);
235  print("<Done testing starttest() and is_test_active() for non-skipped test>");
236 
237  print(lf & "<Testing starttest() and is_test_active() for skipped test>");
238  starttest(5, "SkipTest", pltbv, pltbs);
239 
240  if (is_test_active(pltbv)) then
241  v_expected_tests_cnt := v_expected_tests_cnt + 1;
242  wait until rising_edge(clk);
243  assert false
244  report "Executing test that should have been skipped"
245  severity error;
246  else
247  --check("Checking if check() detects that it should not be called in skipped test", false, pltbv, pltbs);
248  v_expected_skiptests_cnt := v_expected_skiptests_cnt + 1;
249  end if;
250 
251  endtest(pltbv, pltbs);
252  print("<Done testing starttest() and is_test_active() for skipped test>");
253 
254  print(lf & "<Testing waitclks()>");
255  clk_cnt_clr <= true;
256  wait until rising_edge(clk);
257  clk_cnt_clr <= false;
258  wait until rising_edge(clk);
259  waitclks(10, clk, pltbv, pltbs);
260  assert clk_cnt = 10
261  report "clk_cnt after waitclks() incorrect:" & integer'image(clk_cnt) &
262  " expected:" & integer'image(10)
263  severity error;
264  print("<Done testing waitclks()>");
265 
266  print(lf & "<Testing check() integer>");
267  s_i <= 0;
268  wait until rising_edge(clk);
269  check("Testing correct integer = 0", s_i, 0, pltbv, pltbs);
270  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
271  s_i <= 1;
272  wait until rising_edge(clk);
273  check("Testing correct integer = 1", s_i, 1, pltbv, pltbs);
274  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
275  s_i <= 17;
276  wait until rising_edge(clk);
277  check("Testing incorrect integer = 17", s_i, 18, pltbv, pltbs);
278  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
279  s_i <= -1;
280  wait until rising_edge(clk);
281  check("Testing negative integer = -1", s_i, -1, pltbv, pltbs);
282  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
283  print("<Done testing check() integer>");
284 
285  print(lf & "<Testing check() std_logic>");
286  s_sl <= '0';
287  wait until rising_edge(clk);
288  check("Testing correct std_logic = '0'", s_sl, '0', pltbv, pltbs);
289  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
290  s_sl <= '1';
291  wait until rising_edge(clk);
292  check("Testing correct std_logic = '1'", s_sl, '1', pltbv, pltbs);
293  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
294  s_sl <= 'X';
295  wait until rising_edge(clk);
296  check("Testing incorrect std_logic = '1'", s_sl, '1', pltbv, pltbs);
297  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
298  print("<Done testing check() std_logic>");
299 
300  print(lf & "<Testing check() std_logic against integer>");
301  s_sl <= '0';
302  wait until rising_edge(clk);
303  check("Testing correct std_logic = '0'", s_sl, 0, pltbv, pltbs);
304  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
305  s_sl <= '1';
306  wait until rising_edge(clk);
307  check("Testing correct std_logic = '1'", s_sl, 1, pltbv, pltbs);
308  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
309  s_sl <= 'X';
310  wait until rising_edge(clk);
311  check("Testing incorrect std_logic = '1'", s_sl, 1, pltbv, pltbs);
312  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
313  s_sl <= '1';
314  wait until rising_edge(clk);
315  check("Testing std_logic = '1' with incorrect expected", s_sl, 2, pltbv, pltbs);
316  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
317  print("<Done testing check() std_logic against integer>");
318 
319  print(lf & "<Testing check() std_logic_vector>");
320  s_slv <= x"00";
321  wait until rising_edge(clk);
322  check("Testing correct std_logic_vector = x'00'", s_slv, x"00", pltbv, pltbs);
323  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
324  s_slv <= x"47";
325  wait until rising_edge(clk);
326  check("Testing correct std_logic_vector = x'47'", s_slv, x"47", pltbv, pltbs);
327  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
328  s_slv <= x"11";
329  wait until rising_edge(clk);
330  check("Testing incorrect std_logic_vector = x'11'", s_slv, x"10", pltbv, pltbs);
331  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
332  print("<Done testing check() std_logic_vector>");
333 
334  print(lf & "<Testing check() std_logic_vector with mask>");
335  s_slv <= x"47";
336  wait until rising_edge(clk);
337  check("Testing std_logic_vector = x'47' with correct nibble mask", s_slv, x"57", x"0F", pltbv, pltbs);
338  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
339  s_slv <= x"47";
340  wait until rising_edge(clk);
341  check("Testing std_logic_vector = x'47' with incorrect nibble mask", s_slv, x"57", x"F0", pltbv, pltbs);
342  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
343  print("<Done testing check() std_logic_vector with mask>");
344 
345  print(lf & "<Testing check() std_logic_vector against integer>");
346  s_slv <= x"00";
347  wait until rising_edge(clk);
348  check("Testing correct std_logic_vector = x'00'", s_slv, 0, pltbv, pltbs);
349  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
350  s_slv <= x"47";
351  wait until rising_edge(clk);
352  check("Testing correct std_logic_vector = x'47'", s_slv, 16#47#, pltbv, pltbs);
353  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
354  s_slv <= x"11";
355  wait until rising_edge(clk);
356  check("Testing incorrect std_logic_vector = x'11'", s_slv, 16#10#, pltbv, pltbs);
357  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
358  s_slv <= x"FF";
359  wait until rising_edge(clk);
360  check("Testing negative std_logic_vector = x'FF'", s_slv, -1, pltbv, pltbs);
361  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
362  print("<Done testing check() std_logic_vector against integer>");
363 
364  print(lf & "<Testing check() std_logic_vector with mask against integer>");
365  s_slv <= x"47";
366  wait until rising_edge(clk);
367  check("Testing std_logic_vector = x'47' with correct nibble mask", s_slv, 16#57#, x"0F", pltbv, pltbs);
368  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
369  s_slv <= x"47";
370  wait until rising_edge(clk);
371  check("Testing std_logic_vector = x'47' with incorrect nibble mask", s_slv, 16#57#, x"F0", pltbv, pltbs);
372  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
373  print("<Done testing check() std_logic_vector with mask against integer>");
374 
375  print(lf & "<Testing check() unsigned>");
376  s_u <= x"00";
377  wait until rising_edge(clk);
378  check("Testing correct unsigned = x'00'", s_u, x"00", pltbv, pltbs);
379  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
380  s_u <= x"47";
381  wait until rising_edge(clk);
382  check("Testing correct unsigned = x'47'", s_u, x"47", pltbv, pltbs);
383  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
384  s_u <= x"11";
385  wait until rising_edge(clk);
386  check("Testing incorrect unsigned = x'11'", s_u, x"10", pltbv, pltbs);
387  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
388  print("<Done testing check() unsigned>");
389 
390  print(lf & "<Testing check() unsigned against integer>");
391  s_u <= x"00";
392  wait until rising_edge(clk);
393  check("Testing correct unsigned = x'00'", s_u, 0, pltbv, pltbs);
394  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
395  s_u <= x"47";
396  wait until rising_edge(clk);
397  check("Testing correct unsigned = x'47'", s_u, 16#47#, pltbv, pltbs);
398  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
399  s_u <= x"11";
400  wait until rising_edge(clk);
401  check("Testing incorrect unsigned = x'11'", s_u, 16#10#, pltbv, pltbs);
402  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
403  print("<Done testing check() unsigned against integer>");
404 
405  print(lf & "<Testing check() signed>");
406  s_s <= x"00";
407  wait until rising_edge(clk);
408  check("Testing correct signed = x'00'", s_s, x"00", pltbv, pltbs);
409  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
410  s_s <= x"47";
411  wait until rising_edge(clk);
412  check("Testing correct signed = x'47'", s_s, x"47", pltbv, pltbs);
413  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
414  s_s <= x"11";
415  wait until rising_edge(clk);
416  check("Testing incorrect signed = x'11'", s_s, x"10", pltbv, pltbs);
417  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
418  s_s <= x"FF";
419  wait until rising_edge(clk);
420  check("Testing negative signed = x'FF'", s_s, x"FF", pltbv, pltbs);
421  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
422  print("<Done testing check() signed>");
423 
424  print(lf & "<Testing check() signed against integer>");
425  s_s <= x"00";
426  wait until rising_edge(clk);
427  check("Testing correct signed = x'00'", s_s, 0, pltbv, pltbs);
428  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
429  s_s <= x"47";
430  wait until rising_edge(clk);
431  check("Testing correct signed = x'47'", s_s, 16#47#, pltbv, pltbs);
432  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
433  s_s <= x"11";
434  wait until rising_edge(clk);
435  check("Testing incorrect signed = x'11'", s_s, 16#10#, pltbv, pltbs);
436  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
437  s_s <= x"FF";
438  wait until rising_edge(clk);
439  print("NOTE: Skipping test with negative signed. There seem to be a bug in ModelSim.");
440  --print("The following check fails in ModelSim for unknown reason." &
441  -- " It causes mismatch between expected number of errors" &
442  -- " and the number presented by endsim()");
443  --check("Testing negative signed = x'FF'", s_s, -1, pltbv, pltbs);
444  --check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
445  print("<Done testing check() signed against integer>");
446 
447  print(lf & "<Testing check() boolean>");
448  s_b <= false;
449  wait until rising_edge(clk);
450  check("Testing correct boolean = false", s_b, false, pltbv, pltbs);
451  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
452  s_b <= true;
453  wait until rising_edge(clk);
454  check("Testing correct boolean = true", s_b, true, pltbv, pltbs);
455  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
456  s_b <= false;
457  wait until rising_edge(clk);
458  check("Testing incorrect boolean = true", s_b, true, pltbv, pltbs);
459  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
460  print("<Done testing check() boolean>");
461 
462  print(lf & "<Testing check() boolean against integer>");
463  s_b <= false;
464  wait until rising_edge(clk);
465  check("Testing correct boolean = false", s_b, 0, pltbv, pltbs);
466  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
467  s_b <= true;
468  wait until rising_edge(clk);
469  check("Testing correct boolean = true", s_b, 1, pltbv, pltbs);
470  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
471  s_b <= false;
472  wait until rising_edge(clk);
473  check("Testing incorrect boolean = true", s_b, 1, pltbv, pltbs);
474  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
475  s_b <= true;
476  wait until rising_edge(clk);
477  check("Testing boolean = true with incorrect expected", s_b, 2, pltbv, pltbs);
478  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
479  print("<Done testing check() boolean against integer>");
480 
481  print(lf & "<Testing check() time>");
482  s_time <= 0 sec;
483  wait until rising_edge(clk);
484  check("Testing correct time = 0 sec", s_time, 0 sec, pltbv, pltbs);
485  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
486  s_time <= 47 ns;
487  wait until rising_edge(clk);
488  check("Testing correct time = 47 ns", s_time, 47 ns, pltbv, pltbs);
489  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
490  s_time <= 11 us;
491  wait until rising_edge(clk);
492  check("Testing incorrect time = 10 us", s_time, 10 us, pltbv, pltbs);
493  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
494  print("<Done testing check() time>");
495 
496  print(lf & "<Testing check() time with tolerance>");
497  s_time <= 0 sec;
498  wait until rising_edge(clk);
499  check("Testing correct unsigned = 0 sec +/- 0 sec", s_time, 0 sec, 0 sec, pltbv, pltbs);
500  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
501  s_time <= 47 ns - 3 ns;
502  wait until rising_edge(clk);
503  check("Testing correct time = 47 ns +/- 5 ns", s_time, 47 ns, 5 ns, pltbv, pltbs);
504  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
505  s_time <= 10 us + 7 us;
506  wait until rising_edge(clk);
507  check("Testing incorrect time = 10 us +/- 5 us", s_time, 10 us, 5 us, pltbv, pltbs);
508  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
509  print("<Done testing check() time with tolerance>");
510 
511  print(lf & "<Testing check() string>");
512  s_str_exp <= string'("The quick brown fox jumps over the lazy dog.");
513  s_str1 <= string'("The quick brown fox jumps over the lazy dog.");
514  s_str2 <= string'("The quick brown dog jumps over the lazy fox.");
515  s_str3 <= string'("The quick brown fox jumps over the lazy dog");
516  s_str4 <= string'("The quick brown fox jumps over the lazy dog..");
517  wait until rising_edge(clk);
518  check("Testing correct string", s_str1, s_str_exp, pltbv, pltbs);
519  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
520  s_s <= x"47";
521  wait until rising_edge(clk);
522  check("Testing incorrect string with correct length", s_str2, s_str_exp, pltbv, pltbs);
523  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
524  s_s <= x"11";
525  wait until rising_edge(clk);
526  check("Testing too short string", s_str3, s_str_exp, pltbv, pltbs);
527  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
528  wait until rising_edge(clk);
529  check("Testing too long string", s_str4, s_str_exp, pltbv, pltbs);
530  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
531  print("<Done testing check() string>");
532 
533  print(lf & "<Testing check() boolean expression>");
534  s_i <= 0;
535  wait until rising_edge(clk);
536  check("Testing correct boolean expression 0 = 16#00#", s_i = 16#00#, pltbv, pltbs);
537  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
538  s_i <= 47;
539  wait until rising_edge(clk);
540  check("Testing incorrect boolean expression 47 < 16#10#", s_i < 16#10#, pltbv, pltbs);
541  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
542  print("<Done testing check() boolean expresson>");
543 
544  print(lf & "<Test readline() behaviour of the current simulator>");
545  print("Some simulators seem to handle CR and LF differently from others.");
546  file_open(f_status, txtfile, G_TEXTTESTFILE_REFERENCE, read_mode);
547  print("DEBUG: file_open_status: " & file_open_status'image(f_status));
548  if f_status /= open_ok then
549  print("Failed to open " & G_TEXTTESTFILE_REFERENCE & " for reading: " & file_open_status'image(f_status));
550  else
551  while not endfile(txtfile) loop
552  readline(txtfile, l);
553  line_num := line_num + 1;
554  write(msg, "Line " & str(line_num) & ": " & str(l'length) & " characters: ");
555  for i in l'range loop
556  write(msg, character'pos(l(i)));
557  write(msg, string'(" "));
558  end loop;
559  writeline(output, msg);
560  end loop;
561  end if;
562  print("<Done testing readline() behaviour>");
563 
564  print(lf & "<Test reading binary files>");
565  -- Check if the current simulator running on the current operating system supports
566  -- reading binary files as file of character.
567  -- That is a requirement for check_binfile().
568  file_open(f_status, binfile, G_BINTESTFILE_REFERENCE, read_mode);
569  print("DEBUG: file_open_status: " & file_open_status'image(f_status));
570  --check("Status of opening binary file", f_status = open_ok, file_open_status'image(f_status), "open_ok", pltbv, pltbs);
571  --check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
572  if f_status = open_ok then
573  i := 0;
574  v_errcnt := 0;
575  while not endfile(binfile) loop
576  read(binfile, c);
577  v_actual_data := character'pos(c);
578  if i < G_BINTESTFILE_HEADER'length then
579  v_expected_data := character'pos(G_BINTESTFILE_HEADER(i+1));
580  else
581  v_expected_data := (i - G_BINTESTFILE_HEADER'length) mod 256;
582  end if;
583  if v_actual_data /= v_expected_data then
584  v_errcnt := v_errcnt + 1;
585  print("Error at offset " & str(i) & ": actual " & str(v_actual_data) & " expected " & str(v_expected_data));
586  end if;
587  i := i + 1;
588  end loop;
589  file_close(binfile);
590  check("Number of errors while reading binary file", v_errcnt, 0, pltbv, pltbs);
591  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
592  check("Number of bytes read from binary file", i, G_BINTESTFILE_LEN, pltbv, pltbs);
593  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
594  end if;
595  print("<Done testing reading binary files>");
596 
597  print(lf & "<Testing check_binfile()");
598  check_binfile("Testing correct binary file", G_BINTESTFILE_CORRECT, G_BINTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
599  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
600  check_binfile("Testing binary file with error", G_BINTESTFILE_ERROR, G_BINTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
601  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
602  check_binfile("Testing shorter binary file", G_BINTESTFILE_SHORTER, G_BINTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
603  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
604  check_binfile("Testing longer binary file", G_BINTESTFILE_LONGER, G_BINTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
605  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
606  print("<Done testing check_binfile()");
607 
608  print(lf & "<Testing check_txtfile()");
609  check_txtfile("Testing correct text file", G_TEXTTESTFILE_CORRECT, G_TEXTTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
610  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
611  check_txtfile("Testing text file with error", G_TEXTTESTFILE_ERROR, G_TEXTTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
612  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
613  check_txtfile("Testing shorter text file", G_TEXTTESTFILE_SHORTER, G_TEXTTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
614  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
615  check_txtfile("Testing longer text file", G_TEXTTESTFILE_LONGER, G_TEXTTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
616  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
617  print("<Done testing check_txtfile()");
618 
619  print(lf & "<Testing check_datfile()");
620  check_datfile("Testing correct data file", G_DATTESTFILE_CORRECT, G_DATTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
621  check_cnt(C_EXPECTED_SUCCESS, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
622  check_datfile("Testing data file with error", G_DATTESTFILE_ERROR, G_DATTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
623  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
624  check_datfile("Testing shorter data file", G_DATTESTFILE_SHORTER, G_DATTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
625  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
626  check_datfile("Testing longer data file", G_DATTESTFILE_LONGER, G_DATTESTFILE_REFERENCE, G_CHECKFILE_VERBOSITY, pltbv, pltbs);
627  check_cnt(C_EXPECTED_FAIL, v_expected_checks_cnt, v_expected_errors_cnt, expected_checks_cnt, expected_errors_cnt);
628  print("<Done testing check_datfile()");
629 
630  print(lf & "<Testing endtest()>");
631  endtest(pltbv, pltbs);
632  print("<Done testing endtest()>");
633 
634  wait until rising_edge(clk);
635  print(lf & "<Testing endsim()>");
636  print("");
637  print("Expected number of tests: " & str(v_expected_tests_cnt));
638  print("Expected number of skipped tests: " & str(v_expected_skiptests_cnt));
639  print("Expected number of checks: " & str(v_expected_checks_cnt));
640  print("Expected number of errors: " & str(v_expected_errors_cnt));
641  if v_expected_errors_cnt = 0 then
642  print("Expected result: SUCCESS");
643  else
644  print("Expected result: FAIL");
645  end if;
646  wait until rising_edge(clk);
647  endsim(pltbv, pltbs, true);
648  wait until rising_edge(clk);
649  print("<Done testing endsim()>");
650 
651  wait;
652 
653  end process p_tc1;
654 
655 end architecture bhv;
Creates a clock for use in a testbench.
out clk_o std_logic
Clock output.
in stop_sim_i std_logic
Stops the clock when '1'.
G_PERIOD time := 10 ns
Clock period.
See pltbutils_comp.vhd for a description of the components.
This package defines fuctions and procedures for controlling stimuli to a DUT and checking response.
string( 1 to 44) s_str1
unsigned( 7 downto 0) s_u
boolean := false C_EXPECTED_SUCCESS
boolean := true C_EXPECTED_FAIL
pltbutils_clkgen clkgen0clkgen0
integer := 0 expected_checks_cnt
unsigned( 7 downto 0) s_s
check_cntC_EXPECT_FAIL,v_checks_cnt,v_errors_cnt,checks_cnt,errors_cnt,
string( 1 to 44) s_str_exp
pltbs_t := C_PLTBS_INIT pltbs
boolean := false clk_cnt_clr
string( 1 to 43) s_str3
integer := 0 clk_cnt
std_logic_vector( 7 downto 0) s_slv
string( 1 to 45) s_str4
integer := 0 expected_errors_cnt
string( 1 to 44) s_str2
G_SKIPTESTS std_logic_vector :=( '0', '0', '0', '0', '0', '1')
G_BINTESTFILE_LONGER string := "../../../bench/testfiles/bintestfile_longer.txt"
G_TEXTTESTFILE_REFERENCE string := "../../../bench/testfiles/texttestfile_reference.txt"
G_DATTESTFILE_LONGER string := "../../../bench/testfiles/dattestfile_longer.dat"
G_TEXTTESTFILE_ERROR string := "../../../bench/testfiles/texttestfile_error.txt"
G_BINTESTFILE_LEN integer := 528
G_CLK_PERIOD time := 10 ns
G_BINTESTFILE_ERROR string := "../../../bench/testfiles/bintestfile_error.bin"
G_CHECKFILE_VERBOSITY integer := 10
G_BINTESTFILE_REFERENCE string := "../../../bench/testfiles/bintestfile_reference.bin"
G_TEXTTESTFILE_SHORTER string := "../../../bench/testfiles/texttestfile_shorter.txt"
G_TEXTTESTFILE_LONGER string := "../../../bench/testfiles/texttestfile_longer.txt"
G_DATTESTFILE_SHORTER string := "../../../bench/testfiles/dattestfile_shorter.dat"
G_DATTESTFILE_ERROR string := "../../../bench/testfiles/dattestfile_error.dat"
G_DATTESTFILE_REFERENCE string := "../../../bench/testfiles/dattestfile_reference.dat"
G_BINTESTFILE_CORRECT string := "../../../bench/testfiles/bintestfile_correct.bin"
G_TEXTTESTFILE_CORRECT string := "../../../bench/testfiles/texttestfile_correct.txt"
G_BINTESTFILE_SHORTER string := "../../../bench/testfiles/bintestfile_shorter.bin"
G_DATTESTFILE_CORRECT string := "../../../bench/testfiles/dattestfile_correct.dat"
G_BINTESTFILE_HEADER string := "Bintestfile R "
Defines useful functions an procedures for text handling text in VHDL.
Definition: txt_util.vhd:31