39 use ieee.std_logic_1164.
all;
40 use ieee.numeric_std.
all;
49 variable pltbv : pltbv_t := C_PLTBV_INIT;
51 startsim("tc1", "", pltbv, pltbs);
57 starttest(1, "Reset test", pltbv, pltbs);
59 check("Sum during reset", sum, 0, pltbv, pltbs);
62 endtest(pltbv, pltbs);
64 starttest(2, "Simple sum test", pltbv, pltbs);
66 x <= std_logic_vector(to_unsigned(1, x'length));
67 y <= std_logic_vector(to_unsigned(2, x'length));
71 endtest(pltbv, pltbs);
73 starttest(3, "Simple carry in test", pltbv, pltbs);
76 x <= std_logic_vector(to_unsigned(1, x'length));
77 y <= std_logic_vector(to_unsigned(2, x'length));
82 endtest(pltbv, pltbs);
84 starttest(4, "Simple carry out test", pltbv, pltbs);
86 x <= std_logic_vector(to_unsigned(2**G_WIDTH-1, x'length));
87 y <= std_logic_vector(to_unsigned(1, x'length));
91 endtest(pltbv, pltbs);
93 endsim(pltbv, pltbs, true);
This package defines fuctions and procedures for controlling stimuli to a DUT and checking response.
in sum std_logic_vector( G_WIDTH- 1 downto 0)
out y std_logic_vector( G_WIDTH- 1 downto 0)
G_DISABLE_BUGS integer range 0 to 1:= 0
out x std_logic_vector( G_WIDTH- 1 downto 0)
Defines useful functions an procedures for text handling text in VHDL.