PlTbUtils  1.3
PlTbUtils is a collection of functions, procedures and components for easily creating stimuli and checking response in automatic self-checking testbenches.
tc_template2.vhd
Go to the documentation of this file.
1 ----------------------------------------------------------------------
2 ---- ----
3 ---- PlTbUtils Testcase Entity for Template Testbench ----
4 ---- ----
5 ---- This file is part of the PlTbUtils project ----
6 ---- http://opencores.org/project,pltbutils ----
7 ---- ----
8 ---- Description: ----
9 ---- PlTbUtils is a collection of functions, procedures and ----
10 ---- components for easily creating stimuli and checking response ----
11 ---- in automatic self-checking testbenches. ----
12 ---- ----
13 ---- This file is a template, which can be used as a base when ----
14 ---- testbenches which use PlTbUtils. ----
15 ---- Copy this file to your preferred location and rename the ----
16 ---- copied file and its contents, by replacing the word ----
17 ---- "templateXX" with a name for your design. ----
18 ---- Also remove informative comments enclosed in < ... > . ----
19 ---- ----
20 ---- ----
21 ---- To Do: ----
22 ---- - ----
23 ---- ----
24 ---- Author(s): ----
25 ---- - Per Larsson, pela.opencores@gmail.com ----
26 ---- ----
27 ----------------------------------------------------------------------
28 
29 library ieee;
30 use ieee.std_logic_1164.all;
31 use work.pltbutils_func_pkg.all;
32 
33 entity tc_template2 is
34  generic (
35  G_SKIPTESTS : std_logic_vector := (
36  '0', -- Dummy
37  '0', -- Test 1
38  '0' -- Test 2
39  -- ... etc
40  )
41  -- < Template info: add more generics here if needed >
42  );
43  port (
44  pltbs : out pltbs_t;
45  clk : in std_logic; -- Template example
46  rst : out std_logic -- Template example
47  -- < Template info: add more ports for testcase component here. >
48  -- < Inputs on the DUT should be outputs here, >
49  -- < and vice versa. >
50  -- < Exception: clocks are inputs both on DUT >
51  -- < and here. >
52  );
53 end entity tc_template2;
This package defines fuctions and procedures for controlling stimuli to a DUT and checking response.
out rst std_logic
G_SKIPTESTS std_logic_vector :=( '0', '0', '0')
in clk std_logic
out pltbs pltbs_t