PlTbUtils  1.3
PlTbUtils is a collection of functions, procedures and components for easily creating stimuli and checking response in automatic self-checking testbenches.
tc_template2 Entity Reference
Inheritance diagram for tc_template2:

Entities

tc1  architecture
 

Generics

G_SKIPTESTS  std_logic_vector := ( ' 0 ' , ' 0 ' , ' 0 ' )

Ports

pltbs   out   pltbs_t
clk   in   std_logic
rst   out   std_logic

Detailed Description

Definition at line 33 of file tc_template2.vhd.

Member Data Documentation

◆ clk

clk in std_logic
Port

Definition at line 45 of file tc_template2.vhd.

◆ G_SKIPTESTS

G_SKIPTESTS std_logic_vector := ( ' 0 ' , ' 0 ' , ' 0 ' )
Generic

Definition at line 42 of file tc_template2.vhd.

◆ pltbs

pltbs out pltbs_t
Port

Definition at line 44 of file tc_template2.vhd.

◆ rst

rst out std_logic
Port

Definition at line 52 of file tc_template2.vhd.


The documentation for this class was generated from the following file: