PlTbUtils  1.3
PlTbUtils is a collection of functions, procedures and components for easily creating stimuli and checking response in automatic self-checking testbenches.
pltbutils_diff_check Entity Reference

Checks that the negative half of a diff pair is the always the complement of the positive half. More...

Entities

bhv  architecture
 

Generics

G_VERBOSITY  integer := 0
G_RPT_LABEL  string := " pltbutils_diff_check "

Ports

diff_error_o   out   std_logic
  High when diff error detected.
diff_errors_o   out   integer
  Number of diff errors detected.
s_i   in   std_logic
  Pos half of diff pair to check.
s_n_i   in   std_logic := ' 0 '
  Neg half of diff pair to check.
rst_errors_i   in   std_logic := ' 0 '
  High resets diff error counter.

Detailed Description

Checks that the negative half of a diff pair is the always the complement of the positive half.

Setting G_VERBOSITY to at least 100 reports number of diff errors. Set G_RPT_LABEL to a prefix used in reports, typically the name of the signal being measured.

Instantiation template

(copy to your own file and remove the comment characters):

pltbutils_diff_check0 : pltbutils_diff_check
generic map (
G_VERBOSITY => G_VERBOSITY
G_RPT_LABEL => "sig"
)
port map (
diff_error => sig_diff_error,
diff_errors => sig_diff_errors,
s_i => sig,
s_n_i => sig_n,
rst_errors_i => sig_rst_errors
);
Checks that the negative half of a diff pair is the always the complement of the positive half.
in s_n_i std_logic := '0'
Neg half of diff pair to check.
G_RPT_LABEL string := "pltbutils_diff_check"
in s_i std_logic
Pos half of diff pair to check.
G_VERBOSITY integer := 0
in rst_errors_i std_logic := '0'
High resets diff error counter.

Definition at line 202 of file pltbutils_comp.vhd.

Member Data Documentation

◆ diff_error_o

diff_error_o out std_logic
Port

High when diff error detected.

Definition at line 208 of file pltbutils_comp.vhd.

◆ diff_errors_o

diff_errors_o out integer
Port

Number of diff errors detected.

Definition at line 209 of file pltbutils_comp.vhd.

◆ G_RPT_LABEL

G_RPT_LABEL string := " pltbutils_diff_check "
Generic

Definition at line 206 of file pltbutils_comp.vhd.

◆ G_VERBOSITY

G_VERBOSITY integer := 0
Generic

Definition at line 204 of file pltbutils_comp.vhd.

◆ rst_errors_i

rst_errors_i in std_logic := ' 0 '
Port

High resets diff error counter.

Definition at line 213 of file pltbutils_comp.vhd.

◆ s_i

s_i in std_logic
Port

Pos half of diff pair to check.

Definition at line 210 of file pltbutils_comp.vhd.

◆ s_n_i

s_n_i in std_logic := ' 0 '
Port

Neg half of diff pair to check.

Definition at line 211 of file pltbutils_comp.vhd.


The documentation for this class was generated from the following file: