PlTbUtils  1.3
PlTbUtils is a collection of functions, procedures and components for easily creating stimuli and checking response in automatic self-checking testbenches.
wave.do
Go to the documentation of this file.
1 onerror {resume}
2 quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_num } Test_number
3 quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_name } Test_name
4 quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.info } Info
5 quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.chk_cnt } Checks
6 quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.err_cnt } Errors
7 quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.stop_sim } StopSim
8 quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_num } TestNumber
9 quietly virtual signal -install /tb_example2 {/tb_example2/pltbs.test_name } TestName
10 quietly WaveActivateNextPane {} 0
11 add wave -noupdate -divider {Simulation info}
12 add wave -noupdate /tb_example2/TestNumber
13 add wave -noupdate /tb_example2/TestName
14 add wave -noupdate /tb_example2/Info
15 add wave -noupdate /tb_example2/Checks
16 add wave -noupdate /tb_example2/Errors
17 add wave -noupdate /tb_example2/StopSim
18 add wave -noupdate -divider Tb
19 add wave -noupdate /tb_example2/clk
20 add wave -noupdate /tb_example2/rst
21 add wave -noupdate /tb_example2/carry_in
22 add wave -noupdate /tb_example2/x
23 add wave -noupdate /tb_example2/y
24 add wave -noupdate /tb_example2/sum
25 add wave -noupdate /tb_example2/carry_out
26 add wave -noupdate -divider DUT
27 add wave -noupdate /tb_example2/dut0/clk_i
28 add wave -noupdate /tb_example2/dut0/rst_i
29 add wave -noupdate /tb_example2/dut0/carry_i
30 add wave -noupdate /tb_example2/dut0/x_i
31 add wave -noupdate /tb_example2/dut0/y_i
32 add wave -noupdate /tb_example2/dut0/sum_o
33 add wave -noupdate /tb_example2/dut0/carry_o
34 add wave -noupdate /tb_example2/dut0/x
35 add wave -noupdate /tb_example2/dut0/y
36 add wave -noupdate /tb_example2/dut0/c
37 add wave -noupdate /tb_example2/dut0/sum
38 add wave -noupdate -divider End
39 TreeUpdate [SetDefaultTree]
40 WaveRestoreCursors {{Cursor 1} {0 ps} 0}
41 configure wave -namecolwidth 133
42 configure wave -valuecolwidth 40
43 configure wave -justifyvalue left
44 configure wave -signalnamewidth 1
45 configure wave -snapdistance 10
46 configure wave -datasetprefix 0
47 configure wave -rowmargin 4
48 configure wave -childrowmargin 2
49 configure wave -gridoffset 0
50 configure wave -gridperiod 1
51 configure wave -griddelta 40
52 configure wave -timeline 0
53 configure wave -timelineunits ps
54 update
55 WaveRestoreZoom {0 ps} {131072 ps}